Publications by Todd M. Austin

×

Status message

The Publications site is currently under construction, as a result some publications might be missing.

2009

IEEE Trans. Computers, January 2009
@inproceedings{abc,
	author = {Kypros Constantinides and Onur Mutlu and Todd M. Austin and Valeria Bertacco},
	booktitle = {IEEE Trans. Computers},
	title = {A Flexible Software-Based Framework for Online Detection of Hardware Defects.},
	url = {http://doi.ieeecomputersociety.org/10.1109/TC.2009.52},
	year = {2009}
}

2008

41st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-41 2008), Lake Como, Italy, January 2008
@inproceedings{abc,
	author = {Kypros Constantinides and Onur Mutlu and Todd M. Austin},
	booktitle = {41st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-41 2008)},
	title = {Online design bug detection: RTL analysis, flexible mechanisms, and evaluation.},
	url = {http://dx.doi.org/10.1109/MICRO.2008.4771798},
	venue = {Lake Como, Italy},
	year = {2008}
}

2007

40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-40 2007), Chicago, Illinois, USA, January 2007
@inproceedings{abc,
	author = {Kypros Constantinides and Onur Mutlu and Todd M. Austin and Valeria Bertacco},
	booktitle = {40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-40 2007)},
	title = {Software-Based Online Detection of Hardware Defects Mechanisms, Architectural Support, and Evaluation.},
	url = {http://doi.ieeecomputersociety.org/10.1109/MICRO.2007.39},
	venue = {Chicago, Illinois, USA},
	year = {2007}
}