Publications by Hyesoon Kim

×

Status message

The Publications site is currently under construction, as a result some publications might be missing.

2009

IEEE Trans. Computers, January 2009
@inproceedings{abc,
	author = {Hyesoon Kim and Jos{\'e} A. Joao and Onur Mutlu and Chang Joo Lee and Yale N. Patt and Robert S. Cohn},
	booktitle = {IEEE Trans. Computers},
	title = {Virtual Program Counter (VPC) Prediction: Very Low Cost Indirect Branch Prediction Using Conditional Branch Prediction Hardware.},
	url = {http://doi.ieeecomputersociety.org/10.1109/TC.2008.227},
	year = {2009}
}

2008

14th International Conference on High-Performance Computer Architecture (HPCA-14 2008), Salt Lake City, UT, USA, January 2008
@inproceedings{abc,
	author = {Chang Joo Lee and Hyesoon Kim and Onur Mutlu and Yale N. Patt},
	booktitle = {14th International Conference on High-Performance Computer Architecture (HPCA-14 2008)},
	title = {Performance-aware speculation control using wrong path usefulness prediction.},
	url = {http://dx.doi.org/10.1109/HPCA.2008.4658626},
	venue = {Salt Lake City, UT, USA},
	year = {2008}
}
Proceedings of the 13th International Conference on Architectural Support for Programming Languages and Operating Systems, ASPLOS 2008, Seattle, WA, USA, January 2008
@inproceedings{abc,
	author = {Jos{\'e} A. Joao and Onur Mutlu and Hyesoon Kim and Rishi Agarwal and Yale N. Patt},
	booktitle = {Proceedings of the 13th International Conference on Architectural Support for Programming Languages and Operating Systems, ASPLOS 2008, Seattle, WA, USA},
	title = {Improving the performance of object-oriented languages with dynamic predication of indirect jumps.},
	url = {http://doi.acm.org/10.1145/1346281.1346293},
	year = {2008}
}

2007

Fifth International Symposium on Code Generation and Optimization (CGO 2007), San Jose, California, USA, January 2007
@inproceedings{abc,
	author = {Hyesoon Kim and Jos{\'e} A. Joao and Onur Mutlu and Yale N. Patt},
	booktitle = {Fifth International Symposium on Code Generation and Optimization (CGO 2007)},
	title = {Profile-assisted Compiler Support for Dynamic Predication in Diverge-Merge Processors.},
	url = {http://doi.ieeecomputersociety.org/10.1109/CGO.2007.31},
	venue = {San Jose, California, USA},
	year = {2007}
}
13st International Conference on High-Performance Computer Architecture (HPCA-13 2007), Phoenix, Arizona, USA, January 2007
@inproceedings{abc,
	author = {Santhosh Srinath and Onur Mutlu and Hyesoon Kim and Yale N. Patt},
	booktitle = {13st International Conference on High-Performance Computer Architecture (HPCA-13 2007)},
	title = {Feedback Directed Prefetching: Improving the Performance and Bandwidth-Efficiency of Hardware Prefetchers.},
	url = {http://doi.ieeecomputersociety.org/10.1109/HPCA.2007.346185},
	venue = {Phoenix, Arizona, USA},
	year = {2007}
}
34th International Symposium on Computer Architecture (ISCA 2007), San Diego, California, USA, January 2007
@inproceedings{abc,
	author = {Hyesoon Kim and Jos{\'e} A. Joao and Onur Mutlu and Chang Joo Lee and Yale N. Patt and Robert S. Cohn},
	booktitle = {34th International Symposium on Computer Architecture (ISCA 2007)},
	title = {VPC prediction: reducing the cost of indirect branches via hardware-based dynamic devirtualization.},
	url = {http://doi.acm.org/10.1145/1250662.1250715},
	venue = {San Diego, California, USA},
	year = {2007}
}
Computer Architecture Letters, January 2007
@inproceedings{abc,
	author = {Jos{\'e} A. Joao and Onur Mutlu and Hyesoon Kim and Yale N. Patt},
	booktitle = {Computer Architecture Letters},
	title = {Dynamic Predication of Indirect Jumps.},
	url = {http://dx.doi.org/10.1109/L-CA.2007.7},
	year = {2007}
}
IEEE Micro, January 2007
@inproceedings{abc,
	author = {Hyesoon Kim and Jos{\'e} A. Joao and Onur Mutlu and Yale N. Patt},
	booktitle = {IEEE Micro},
	title = {Diverge-Merge Processor: Generalized and Energy-Efficient Dynamic Predication.},
	url = {http://doi.ieeecomputersociety.org/10.1109/MM.2007.9},
	year = {2007}
}
Computer Architecture Letters, January 2007
@article{abc,
	author = {Jos{\'e} A. Joao and Onur Mutlu and Hyesoon Kim and Yale N. Patt},
	journal = {Computer Architecture Letters},
	title = {Dynamic Predication of Indirect Jumps.},
	url = {http://dx.doi.org/10.1109/L-CA.2008.2},
	year = {2007}
}

2006

IEEE Micro, January 2006
@inproceedings{abc,
	author = {Hyesoon Kim and Onur Mutlu and Yale N. Patt and Jared Stark},
	booktitle = {IEEE Micro},
	title = {Wish Branches: Enabling Adaptive and Aggressive Predicated Execution.},
	url = {http://doi.ieeecomputersociety.org/10.1109/MM.2006.27},
	year = {2006}
}
IEEE Micro, January 2006
@inproceedings{abc,
	author = {Onur Mutlu and Hyesoon Kim and Yale N. Patt},
	booktitle = {IEEE Micro},
	title = {Efficient Runahead Execution: Power-Efficient Memory Latency Tolerance.},
	url = {http://doi.ieeecomputersociety.org/10.1109/MM.2006.10},
	year = {2006}
}
IEEE Trans. Computers, January 2006
@inproceedings{abc,
	author = {Onur Mutlu and Hyesoon Kim and Yale N. Patt},
	booktitle = {IEEE Trans. Computers},
	title = {Address-Value Delta (AVD) Prediction: A Hardware Technique for Efficiently Parallelizing Dependent Cache Misses.},
	url = {http://doi.ieeecomputersociety.org/10.1109/TC.2006.191},
	year = {2006}
}
Fourth IEEE/ACM International Symposium on Code Generation and Optimization (CGO 2006), New York, New York, USA, January 2006
@inproceedings{abc,
	author = {Hyesoon Kim and M. Aater Suleman and Onur Mutlu and Yale N. Patt},
	booktitle = {Fourth IEEE/ACM International Symposium on Code Generation and Optimization (CGO 2006)},
	title = {2D-Profiling: Detecting Input-Dependent Branches with a Single Input Data Set.},
	url = {http://doi.ieeecomputersociety.org/10.1109/CGO.2006.1},
	venue = {New York, New York, USA},
	year = {2006}
}
39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-39 2006), Orlando, Florida, USA, January 2006
@inproceedings{abc,
	author = {Hyesoon Kim and Jos{\'e} A. Joao and Onur Mutlu and Yale N. Patt},
	booktitle = {39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-39 2006)},
	title = {Diverge-Merge Processor (DMP): Dynamic Predicated Execution of Complex Control-Flow Graphs Based on Frequently Executed Paths.},
	url = {http://doi.ieeecomputersociety.org/10.1109/MICRO.2006.20},
	venue = {Orlando, Florida, USA},
	year = {2006}
}

2005

32st International Symposium on Computer Architecture (ISCA 2005), Madison, Wisconsin, USA, January 2005
@inproceedings{abc,
	author = {Onur Mutlu and Hyesoon Kim and Yale N. Patt},
	booktitle = {32st International Symposium on Computer Architecture (ISCA 2005)},
	title = {Techniques for Efficient Processing in Runahead Execution Engines.},
	url = {http://csdl.computer.org/comp/proceedings/isca/2005/2270/00/22700370abs.htm},
	venue = {Madison, Wisconsin, USA},
	year = {2005}
}
38th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-38 2005), Barcelona, Spain, January 2005
@inproceedings{abc,
	author = {Hyesoon Kim and Onur Mutlu and Jared Stark and Yale N. Patt},
	booktitle = {38th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-38 2005)},
	title = {Wish Branches: Combining Conditional Branching and Predication for Adaptive Predicated Execution.},
	url = {http://doi.ieeecomputersociety.org/10.1109/MICRO.2005.38},
	venue = {Barcelona, Spain},
	year = {2005}
}
38th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-38 2005), Barcelona, Spain, January 2005
@inproceedings{abc,
	author = {Onur Mutlu and Hyesoon Kim and Yale N. Patt},
	booktitle = {38th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-38 2005)},
	title = {Address-Value Delta (AVD) Prediction: Increasing the Effectiveness of Runahead Execution by Exploiting Regular Memory Allocation Patterns.},
	url = {http://doi.ieeecomputersociety.org/10.1109/MICRO.2005.11},
	venue = {Barcelona, Spain},
	year = {2005}
}
Computer Architecture Letters, January 2005
@inproceedings{abc,
	author = {Onur Mutlu and Hyesoon Kim and Jared Stark and Yale N. Patt},
	booktitle = {Computer Architecture Letters},
	title = {On Reusing the Results of Pre-Executed Instructions in a Runahead Execution Processor.},
	url = {http://dx.doi.org/10.1109/L-CA.2005.1},
	year = {2005}
}
International Journal of Parallel Programming, January 2005
@inproceedings{abc,
	author = {Onur Mutlu and Hyesoon Kim and David N. Armstrong and Yale N. Patt},
	booktitle = {International Journal of Parallel Programming},
	title = {Using the First-Level Caches as Filters to Reduce the Pollution Caused by Speculative Memory References.},
	url = {http://dx.doi.org/10.1007/s10766-005-7304-x},
	year = {2005}
}
IEEE Trans. Computers, January 2005
@inproceedings{abc,
	author = {Onur Mutlu and Hyesoon Kim and David N. Armstrong and Yale N. Patt},
	booktitle = {IEEE Trans. Computers},
	title = {An Analysis of the Performance Impact of Wrong-Path Memory References on Out-of-Order and Runahead Execution Processors.},
	url = {http://doi.ieeecomputersociety.org/10.1109/TC.2005.190},
	year = {2005}
}

2004

37th Annual International Symposium on Microarchitecture (MICRO-37 2004), Portland, OR, USA, January 2004
@inproceedings{abc,
	author = {David N. Armstrong and Hyesoon Kim and Onur Mutlu and Yale N. Patt},
	booktitle = {37th Annual International Symposium on Microarchitecture (MICRO-37 2004)},
	title = {Wrong Path Events: Exploiting Unusual and Illegal Program Behavior for Early Misprediction Detection and Recovery.},
	url = {http://doi.ieeecomputersociety.org/10.1109/MICRO.2004.38},
	venue = {Portland, OR, USA},
	year = {2004}
}
16th Symposium on Computer Architecture and High Performance Computing (SBAC-PAD 2004), Foz do Iguacu, Brazil, January 2004
@inproceedings{abc,
	author = {Onur Mutlu and Hyesoon Kim and David N. Armstrong and Yale N. Patt},
	booktitle = {16th Symposium on Computer Architecture and High Performance Computing (SBAC-PAD 2004)},
	title = {Cache Filtering Techniques to Reduce the Negative Impact of Useless Speculative Memory References on Processor Performance.},
	url = {http://csdl.computer.org/comp/proceedings/sbac-pad/2004/2240/00/22400002abs.htm},
	venue = {Foz do Iguacu, Brazil},
	year = {2004}
}
Proceedings of the 3rd Workshop on Memory Performance Issues, in conjunction with the 31st International Symposium on Computer Architecture 2004, Munich, Germany, January 2004
@inproceedings{abc,
	author = {Onur Mutlu and Hyesoon Kim and David N. Armstrong and Yale N. Patt},
	booktitle = {Proceedings of the 3rd Workshop on Memory Performance Issues, in conjunction with the 31st International Symposium on Computer Architecture 2004, Munich, Germany},
	title = {Understanding the effects of wrong-path memory references on processor performance.},
	url = {http://doi.acm.org/10.1145/1054943.1054951},
	year = {2004}
}